serial-to-parallel shift register

Status
Not open for further replies.

kingmaker

Junior Member level 2
Joined
Apr 3, 2008
Messages
22
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,429
Im asked to use mentor graphic to design serial-to-parallel shift register. Anyone got idea for this design?
 

Try posting your own ideas first and we can guide you along. This way we wont have to spoon feed you.

**broken link removed**
 

that doesn't sound too hard. you can simply code it up using verilog

always @ (posedge iclk)
begin
q[5] <= q[4];
q[4] <= q[3];
q[3] <= q[2];
q[2] <= q[1];
q[1] <= q[0];
q[0] <= id;
if (cnt == 'h5) cnt <= 'h0; else cnt <= cnt + 1;
end

always @ (posedge iclk)
begin
if (cnt == 'h5) odata <= q[5:0];
else odata <= odata;
end
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…