seeking help in verilog code

Status
Not open for further replies.

ranga4a2

Newbie level 2
Joined
Dec 29, 2011
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
vellore
Activity points
1,295
i dont know how to write the synthesizable verilog code for the remainder or modular division operation ..

can any one help me in this matter.
 

Dividing is the same as multiplying.example:

I have this : 0001, if I'd want to divide by 6:
count up 'till 0110(CNT <= CNT +1), then make an if x = "0110" then div6 <= '1'(this is written in VHDL, dont know how it should be in Verilog)
 

hi,
you can write synthesizable code for any language if you have hardware for it.
First work on hardware as reminder and modular operator are not synthesizable ,
then it will be easy for you to describe it in Verilog.
 
Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…