Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Running Nested do commands in Questasim

Status
Not open for further replies.

vineethsukumar

Newbie level 4
Joined
Jan 29, 2015
Messages
5
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
45
I am trying to run a tcl script from a sh script.
My sh script is this

Code:
#!/usr/bin/tclsh
vsim -gui -do "source my_script.tcl"

my_script.tcl contains

Code:
vsim -novopt -assertdebug -sv_seed random -coverage -onfinish stop -c -do "echo $Sv_Seed; add wave -r /*; run -all; coverage save -onexit ./coverage_database/$i$Sv_Seed.ucdb;" my_top -l ./sim_log/$i$Sv_Seed.log +UVM_TESTNAME=my_test +UVM_VERBOSITY=UVM_LOW

Now what happens is I am getting a warning Warning: Ignoring nested -do argument: while running in cygwin.It is not taking do commands in the vsim command

Any idea how to solve this issue.

Simply speaking I have to pass the script name while invoking the vsim command itself
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top