vineethsukumar
Newbie level 4

I am trying to run a tcl script from a sh script.
My sh script is this
my_script.tcl contains
Now what happens is I am getting a warning Warning: Ignoring nested -do argument: while running in cygwin.It is not taking do commands in the vsim command
Any idea how to solve this issue.
Simply speaking I have to pass the script name while invoking the vsim command itself
My sh script is this
Code:
#!/usr/bin/tclsh
vsim -gui -do "source my_script.tcl"
my_script.tcl contains
Code:
vsim -novopt -assertdebug -sv_seed random -coverage -onfinish stop -c -do "echo $Sv_Seed; add wave -r /*; run -all; coverage save -onexit ./coverage_database/$i$Sv_Seed.ucdb;" my_top -l ./sim_log/$i$Sv_Seed.log +UVM_TESTNAME=my_test +UVM_VERBOSITY=UVM_LOW
Now what happens is I am getting a warning Warning: Ignoring nested -do argument: while running in cygwin.It is not taking do commands in the vsim command
Any idea how to solve this issue.
Simply speaking I have to pass the script name while invoking the vsim command itself