Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

RTL Encounter VS Design Compiler

Status
Not open for further replies.

mark_yeh

Newbie level 6
Joined
Nov 11, 2004
Messages
12
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
108
the AE from Cadence told me that the design synthesized by their synthesis tool RTL Encounter(RC) is much smaller than by DC(2004.06), and saves much run time. but i am not sure. did anybody here compare the two tools with your own design ?
 

hi, what is rtl encounter? get2chip or buildgates? someone said get2chip is good, but i think dc is still get most support by vendor.
 

z81203 said:
hi, what is rtl encounter? get2chip or buildgates? someone said get2chip is good, but i think dc is still get most support by vendor.

Hi z81203,

Rtl Compiler is the synthesis tool from get2chip, now this tools is belong to

Cadence.
 

it's true, the same case as synplify vs fpga compiler, but, the dc is the industrial standard now, it's the only problem to replace it with other asic synthesis tools.
 

I think RTL Complier is very powerful. It also includes the Physical Complier's function of Synopsys.
But it is very expensive. Certainly, DC is the familiar tool for most designer.
 

Synopsys is accomplished in synthesis tech in EDA industry, i think DC is better than ...
 

mark_yeh said:
the AE from Cadence told me that the design synthesized by their synthesis tool RTL Encounter(RC) is much smaller than by DC(2004.06), and saves much run time. but i am not sure. did anybody here compare the two tools with your own design ?

he lied !
 

SORRY~YOU SAY RTL Encounter(RC) , CAN YOU TELL ME THE "RC" IS CADENCE
DESIGN TOOLS FOR COMPILER??
REPUDETLY DC USEFUL THEN CADENCE'S COMPILER....
 

it should be RTL Compiler (which integerated in SOC encounter product).

RC has some good feature, but it is still young and need some time to be validated.

DC/MC/PC is still the first selection.
 

The results from SNUG are also confusing, it not easy to make clear which is better, but it seems that get2chip's product works well, at least i know that there are some drawbacks with DC
 

RTL Compiler has the graphics using?
 

However, with DW and data-path optimization, DC can process more complex design and get better
results than RC.
But for non-accurate wire-load model, the result will get worsen after place.
DC + PC + Astro is most powerful flow.
Thus need run DC with new configuration with old flow.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top