Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Req: Advice quick learning of FPGA programming

Status
Not open for further replies.

IndiJones

Full Member level 2
Joined
Aug 13, 2001
Messages
132
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,296
Activity points
786
fpga programming tutorial

I would like to learn how to do FPGA programming in a very short time. I don't have any basic knowledge of FPGA, VHDL etc. Could anyone plz suggest me what to do to learn them quickly. I need to do this for implementing a project using TSUNAMI PCI Board that has Altera Stratix Chip. Thanks in advance!
 

LEARNING FPGAS this days can be tricky ..Let me explain myself better .
We can divide this AREA in two .
1) the TOOLS .Technology has made a lot of progress and the tools in FPGA design are nowdays very sofisticated .So it takes a while to learn the trade .
2) A language .. mastering a HDL language is also envolving and demands a lot of excersising .

I suggest you to start a very simple project .Choose the language that you want to explore (VHDL or VERILOG).Get the tools of the technology that suits you .Though is better to go with one that is more or less standart (XILINX or Altera)

The main objetive of this FIRST project is to discover the development FLOW
HDL CODING
DO SYNTHESIS
DO SIMULATION
GENERATION OF NETLIST
PROGRAMMING THE DEVICE

I advice you to start with a simple thing like just outputting signals (1's or 0's)
to some PINS ,,maybe to turn on some LED's
in this firts project ..you will do a little bit of programming ..you will know how to map signals to PINS
learn the tool to create a NETLIST ,a PROM IMAGE and to program the development plataform .You would have created your FIRST IP
Ok next day .. now you want to do something more dynamic .like a counter
that shows on those LEDs ..With this project you will need to learn how to code sequential logic in HDL ( VHDL or verilog) and then how to map a clock in your module ( i said IP) .
now you can continue doing more projects and start using a SIMULATOR
pretty soon you will be studying the HDL language in depth and being more creative .the more you know the language the better YOU WILL BE ABLE TO EXPRESS YOUR CREATIVITY,,

CHEERS
 

well..you can make use of a book written by basker to learn the basics of VHDL and surely it wud help u understand and how to go abt it!

if u do so.. it wud be easy for u to write entry level programs and then go in for more complex ones.

with regards,
 

A quick and conventional way:

1. You can check with Altera if they have any simple example codes for you to use. If you know C programming and the basics of digital gates and design, you'll get used to VHDL fairly quickly.

A quick and dirty way:

2. Some schematic entry tools will convert your schematics into VHDL. I've not used them yet though so I can't guarantee reliability.

A quick and expensive way:

3. It's possible to do a Matlab Simulink model and map it to an Altera chip with VHDL. Check out the https://www.mathworks.com/ website. I bet the software is costly though.

Take your pick!
 

Try exploring xilinx website, they have plenty of useful documents

www.xilinx.com
 

the most easy way:

install altera's quartus software, there is a tutorial within it, followed the tutorial step by step, you will learn fpga development quickly.

of course, you can install xilinx's ise software, there also a tutorial in it.

both of altera and xilinx have free software, you can download from their web.

regards.
 

Thanks for all your advices! I'll start with Quartus, then I'll see how far I can go ;)
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top