Reading internal signals through a testbench.

Status
Not open for further replies.

dBUGGER

Advanced Member level 4
Joined
Apr 18, 2005
Messages
108
Helped
13
Reputation
26
Reaction score
1
Trophy points
1,298
Location
Milky Way
Activity points
2,430
ieee explore

Hi all,
Through a testbench (Verilog or VHDL), how do I read the values of signals of a sub module through a top module? This is required to match and assert if the program is working correctly. Please help. Thank you.

Best Regards,
 

edaboard

In Verilog testbench its very simple you can refer to submodule signal as follows..

top.sub_module.sub_sub_module.my_signal

Here . is used to seperate the hirarchy!

In vhdl I think you need to use FLI !!!
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…