Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

read data from UART in FPGA

Status
Not open for further replies.

rezvania

Junior Member level 2
Joined
Sep 26, 2012
Messages
21
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,442
Hi, I want to use UART and read data from UART and transmit it as input to my project.

I find UART code and it works. sometimes maybe line be idle and then START bit comes, next 8 bit data comes and then Stop bit comes. I read data by clk with 16 times baud rate and I get data. when 1 cycle is done, a pulse be high. when pulse comes up, I read output. this is routine but I have a problem.

if line be idle for long time I don't have new input for my project and previous data read again as new data. I want to know what do you do in this case? you use FIFO or other things? Thank you for all answers ...
 

No, I say we have two block, a asynchronous(UART) and another is synchronous(FPGA blocks), How do we match these?
 

Different question, similar answer. You'll sychronize the data ready pulse to the FPGA clock domain.
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top