Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Question about ICAP Virtex-5 Xilinx

Status
Not open for further replies.

lahrach

Full Member level 3
Joined
Feb 6, 2009
Messages
170
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,296
Activity points
2,285
Hi friends,

I need explanation about how can I use ICAP (Internal Configuration Access Port).
and what is ICAP.

Regards
 

as previously mentioned:
"internal configuration access port"

The best guides are the configuration guide, which defines ICAP/HWACCESS/ect, and the partial reconfiguration user guides. Partial reconfiguration is a newer technology, and is changing. Some versions of ISE have some support for partial reconfiguration. ISE12 has moved to planahead for partial reconfiguration, and I suspect planahead will be merged into ISE in the future.

partial reconfiguration is really intended to allow smaller portions of the FPGA to be reconfigured at runtime, as opposed to the reconfiguration of the entire FPGA.
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top