Quartus Linux vs. Windows Versions: Different Error Criteria

Status
Not open for further replies.

eklikeroomys

Full Member level 3
Joined
Oct 11, 2010
Messages
150
Helped
40
Reputation
80
Reaction score
38
Trophy points
1,308
Location
South Africa
Activity points
2,132
Hi all,

I am using Quartus 9.0 SP II Subscription edition to compile a project. I have installed the Windows version in XP, and the Linux version in Ubuntu.
When compiling the project in Windows, it completes successfully. However, compiling the exact same project in Ubuntu, I get the following error:

"Error (10414): VHDL Unsupported Feature error: cannot synthesize non-constant real objects or values"

I then installed the Windows version in Ubuntu under Wine, and the project again compiles successfully.
Are the Windows and Linux versions of Quartus incompatible? Am I missing a setting somewhere in the Linux version?
Please help.

Thanks
 

Are you 100% sure the code is identical? that error will occur when you try and use real types in your code.
 

Yes the code is 100% the same.
The entire project is under version control so I would know if something has changed.
 

well, first question, do you have non-constant real types somewhere? using a signal of type real is not allowed. Otherwise, you may need to take it up with altera mysupport.
 

Sorry for the late response, for some reason I don't get email notifications any more.

Yes, we do have real values assigned to signals; first, we define a variable such as:

constant VARNAME : real := 1.0 * n;

And then later on (and this is where the error occurs in Linux only, not in Windows), we use the variable such as:

signal SIGNAME : real := VARNAME;
 

well theres the problem. You cannot use real types for signals in a synthesisable design. CONSTANTS are fine as long as they ONLY handle setup (eg generate statements, memory values or initial values for signals - but the memory/signal values must map to a bit type eg std_logic_vector).

- - - Updated - - -

and pedant mode - a signal is not a variable. It is a signal.
 

Thanks, what you are saying makes sense.

Firstly I will have to find out why we are using it this way in our designs, and secondly why the Windows versions of Quartus do not have a problem with this.
 

it might just be a case of where the code is checked. Ive generally only used quartus windows, and I knows its been generally good at ignoring stuff that does nothing, so if it goes nowhere, maybe it just ignored it. THe linux version might have a stricter VHDL compiler.
 
Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…