Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] Problem with questasim 6.4c

Status
Not open for further replies.

Basu_Gouda

Member level 1
Joined
Nov 15, 2010
Messages
34
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Location
Bangalore
Activity points
1,520
Hi
I am using questasim 6.4c version for my systemverilog verification with windows and i am getting error as
cannot open `include<file_name>
and how to nested class statements as the manual specifies its not been supported please do guide me

thanks in advance
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top