Problem with net instantiation

Status
Not open for further replies.

Richard29

Member level 1
Joined
Oct 26, 2010
Messages
37
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,646
Hi all,

I have a very simple statemachine that sets some control signals to interact with a third party IP. The code looks roughly as follows:

Code:
entity testip is
  port (
    ...
    fifo_dataout        : in  std_logic_vector(0 to 31);
    ip_dataout          : in  std_logic_vector(0 to 31);
    ip_ce                 : out std_logic;
    ip_we                : out std_logic;
    ip_datain            : out std_logic_vector(0 to 31);
    );

end entity testip;

architecture imp of testip is

  signal ip_ce_ns     : std_logic;
  signal ip_we_ns     : std_logic;
  signal ip_ce_cs     : std_logic;
  signal ip_we_cs     : std_logic;
  signal ip_dataout_i : std_logic_vector(0 to 31);
  ...

begin

COMB : process (...)
      begin
            ip_ce_ns          <= ip_ce_cs;
            ip_we_ns          <= ip_we_cs;

         case ip_nstate_cs is
            when IDLE      =>
            ...
            
         end case;
end process COMB;

REG: process (Clk) is
       begin
          if (Clk'event and Clk = '1') then
             if (Rst = '1') then
                  ip_ce_cs                <= '1';
                  ip_we_cs                <= '1';
                  ...
             else          
                  ip_ce_cs                <= ip_ce_ns;
                  ip_we_cs                <= ip_we_ns;
                  ...
             end if;
        end if;
end process REG;

S0:     ip_ce                 <= ip_ce_cs;
S1:     ip_we                <= ip_we_cs;
S2:     ip_datain           <= fifo_dataout;
S3:     ip_dataout_i       <= Ip_dataout;
      
end architecture imp;

Sythesis works fine, however, when applying the following constraint file I get ERROR:ConstraintSystem:59 - NET "testip/ip_we" not found. The same occurs for testip/ip_datain and testip/ip_ce.

Code:
Net testip/ip_datain<*> MAXDELAY = 2 ns;
Net testip/ip_ce MAXDELAY = 2 ns;
Net testip/ip_we MAXDELAY = 2 ns;

I checked the netlist, and indeed there is neither a testip/ip_we, a testip/ip_ce nor a testip/ip_datain net. Anyone an idea why the other nets are not in the netlist, all very confusing.

Many thanks for any feedback!
 
Last edited:

Looks like you did not declare them as a signal.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…