Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

problem with INOUT in verilog

Status
Not open for further replies.

maheshkumar.g

Member level 1
Joined
Oct 7, 2012
Messages
35
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,515
hi all,


i have a doubt on INOUT port how will we use the INOUT port and where to use it. can u people reply me with an example.


thanks in advance
 
Last edited:

sorry admin i thought those words are too small to read
 

inout is the type to represent bidirectional type. To read from an inout port, it must be tristated before. Examples are bidirectional data busses or open drain drivers, e.g. I2C data line.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top