problem with assign in verilog

Status
Not open for further replies.

gmish27

Member level 1
Joined
May 12, 2011
Messages
34
Helped
3
Reputation
6
Reaction score
3
Trophy points
1,288
Activity points
1,553
what's wrong with the following code:

module test
(
input a,b,c,
output y
);

wire x;
assign y = a & x;
assign x = b & c;

endmodule

it synthesizes and simulates too but I read their will be a mis-match between the pre and post synthesis. care to explain???
 

Just wanted to know where u have read or can you attach the doc which supports your statement.

I really don't see any issue with the above code.
 

I also tried with vcs and did not found any problem and I also did not see any change after synthesis. its remains 2-input AND.
 

Not in this case. If you try using non-blocking assignments in always loop with clock you may see the difference.
 

yes, try to use non-blocking method in you assign statement!
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…