Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Please recommend the entry-level material of fractionalN PLL

Status
Not open for further replies.

hebu

Full Member level 4
Joined
Nov 15, 2004
Messages
194
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,296
Activity points
1,816
I would like to realize the principles of fractional N PLL, its problems and solutions,
please recommend the reference material which describes those topics in more
details and step by step. Thanks,
 

I suggest take a look at any e-book available at this site. That will give you a big idea.

I also think that fractional N is not a mystery. You just need to take a look at how those dividers are implemented and how division ratio is dithered. It is a piece of cake.

I also suggest you go MIT website and search for Perrot. He had a few papers pretty good on this topic.
 

Re: Please recommend the entry-level material of fractionalN

mike_bihan said:
I suggest take a look at any e-book available at this site. That will give you a big idea.

I also think that fractional N is not a mystery. You just need to take a look at how those dividers are implemented and how division ratio is dithered. It is a piece of cake.

I also suggest you go MIT website and search for Perrot. He had a few papers pretty good on this topic.

https://www-mtl.mit.edu/researchgroups/perrottgroup/index.html
 

Re: Please recommend the entry-level material of fractionalN

mike_bihan said:
I suggest take a look at any e-book available at this site. That will give you a big idea.

I also think that fractional N is not a mystery. You just need to take a look at how those dividers are implemented and how division ratio is dithered. It is a piece of cake.

I also suggest you go MIT website and search for Perrot. He had a few papers pretty good on this topic.

Ya, the operation of divder is my problem. I do not know how the accmulator
operates and how sigma-delta modulator work wth divider. I have read several
materials but they didn't give very detailed explaination. So I look forward to the
detailed explaination.
Thanks,
 

Re: Please recommend the entry-level material of fractionalN

Accumulator is basically first order sigma-delta modulator.

And higher-order modulators are basically same as the one used in sigma-delta DAC.
 

check this

**broken link removed**

khouly
 

r best and thomas lee are best books
download mit springs (high frequency ckt) 2005,2006
 

Re: Please recommend the entry-level material of fractionalN

best book is great in terms of mathematical treatments of the PLL
you can look for michael perrot thesis about fractional-N PLL , also you can find it in MIT OCW
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top