JacquesKleynhans
Member level 2
The following code is an IO data flow controller for to and from sram and FPGA.
Kind Regards
Added after 4 hours 49 minutes:
Please Anyone,
I get these erros
Code:
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity dataflow_control is
port
(
state_enable : in std_logic;
output_enable : in std_logic;
camera_clk : in std_logic;
readclk : in std_logic;
data_in : in std_logic_vector(7 downto 0);
data_inout : inout std_logic_vector(7 downto 0);
data_out : out std_logic_vector(7 downto 0)
);
end dataflow_control;
architecture behavior of dataflow_control is
signal data_in_reg :std_logic_vector(7 downto 0);
signal data_out_reg :std_logic_vector(7 downto 0);
begin
process(camera_clk,state_enable,data_in)
begin
if (state_enable = '1' and rising_edge(camera_clk)) then
data_in_reg <= data_in;
end if;
end process;
process(readclk,state_enable,data_out_reg)
begin
if (state_enable = '1' and rising_edge(readclk)) then
data_out <= data_out_reg;
end if;
end process;
process(output_enable,data_inout,data_in_reg)
begin
if output_enable = '1' then
data_inout <= "ZZZZZZZZ";
data_out_reg <= data_inout;
else
data_inout <= data_in_reg;
data_out_reg <= data_inout;
end if;
end process;
end behavior;
Kind Regards
Added after 4 hours 49 minutes:
Please Anyone,
I get these erros
[/quote]W MO111 tristate driver data_inout_3[7] on net data_inout[7] has its enable tied to GND (module dataflow_control) dataflow_control.vhd (34) camera_payload_1.srr (59) 19:44:00 Mon Jun 14 ProASIC3E Mapper
W MO111 tristate driver data_inout_3[7] on net data_inout[7] has its enable tied to GND (module dataflow_control) dataflow_control.vhd (34) camera_payload_1.srr (59) 19:44:00 Mon Jun 14 ProASIC3E Mapper
W MO111 tristate driver data_inout_3[7] on net data_inout[7] has its enable tied to GND (module dataflow_control) dataflow_control.vhd (34) camera_payload_1.srr (59) 19:44:00 Mon Jun 14 ProASIC3E Mapper
W MO111 tristate driver data_inout_3[7] on net data_inout[7] has its enable tied to GND (module dataflow_control) dataflow_control.vhd (34) camera_payload_1.srr (59) 19:44:00 Mon Jun 14 ProASIC3E Mapper
W MO111 tristate driver data_inout_3[7] on net data_inout[7] has its enable tied to GND (module dataflow_control) dataflow_control.vhd (34) camera_payload_1.srr (59) 19:44:00 Mon Jun 14 ProASIC3E Mapper
W MO111 tristate driver data_inout_3[7] on net data_inout[7] has its enable tied to GND (module dataflow_control) dataflow_control.vhd (34) camera_payload_1.srr (59) 19:44:00 Mon Jun 14 ProASIC3E Mapper
W MO111 tristate driver data_inout_3[7] on net data_inout[7] has its enable tied to GND (module dataflow_control) dataflow_control.vhd (34) camera_payload_1.srr (59) 19:44:00 Mon Jun 14 ProASIC3E Mapper
W MO111 tristate driver data_inout_3[7] on net data_inout[7] has its enable tied to GND (module dataflow_control) dataflow_control.vhd (34) camera_payload_1.srr (59) 19:44:00 Mon Jun 14 ProASIC3E Mapper
W CL159 Input data_in is unused dataflow_control.vhd camera_payload_1.srr 19:43:57 Mon Jun 14 compilerReport
W CL169 Pruning Register data_in_reg(7 downto 0) dataflow_control.vhd (27) camera_payload_1.srr (41) 19:43:57 Mon Jun 14 compilerReport
W CD434 Signal data_in_reg in the sensitivity list is not used in the process dataflow_control.vhd (39) camera_payload_1.srr (39) 19:43:57 Mon Jun 14 compilerReport