SDC :
set_units -time ns -resistance kOhm -capacitance pF -voltage V -current mA
create_clock [get_ports clk] -period 5 -waveform {0 2.5}
set_clock_uncertainty 0.05 [get_clocks clk]
set_input_delay -clock clk -max 0.3 -all_inputs
set_output_delay -clock clk -max 0.3 -all_outputs
set_load -pin_load 0.004 -all_outputs
Critical Innovus Settings :
setAnalysisMode -analysisType single -checkType setup -skew true -clockPropagation sdccontrol
set_ccopt_property buffer_cells { CKBUFM8R CKBUFM6R CKBUFM4R CKBUFM3R CKBUFM2R CKBUFM1R }
set_ccopt_property inverter_cells { CKINVM8R CKINVM6R CKINVM4R CKINVM3R CKINVM2R CKINVM1R }
set_ccopt_property delay_cells { DEL1M1R DEL1M4R DEL2M1R DEL2M4R DEL3M1R DEL3M4R DEL4M1R DEL4M4R }
# Include this setting to use inverters in preference to buffers
set_ccopt_property use_inverters true
set_ccopt_property target_max_trans 600ps
set_ccopt_property target_skew 600ps
create_ccopt_clock_tree_spec
ccopt_design