Not able to open schematic for signal tracing after loading fsdb in verdi

Status
Not open for further replies.
Joined
Jul 23, 2015
Messages
4
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
39
Hi All,

I have compiled/simulated design/Tb with the switch/args as shown below :

===================================================

-lca +vcs+lic+wait +v2k +vcsd -V +vcs+flush+log -sverilog +libext+.v+.sv +systemverilogext+.sv +systemverilogext+.svh -full64 +define+PURE=extern +incdir+/group/tools/synopsys/vcs/Q-2020.03/packages/sva -y /group/tools/synopsys/vcs/Q-2020.03/packages/sva -timescale=1ns/100ps -xlrm uniq_prior_final +lint=TFIPC-L -error=AOUP +warn=noUII-L -debug_all -kdb +define+FSDB

===================================================

initial begin
$fsdbDumpfile("top.fsdb");
$fsdbDumpvars("+all");
end



used command "“verdi -ssf *.fsdb &”" to open fsdb

I am able to open waves, But I are not able to open schematic for tracing, instance window in verdi is blank.

Please help on this. thanks is advance.

Thanks
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…