Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Need Stuffs for Timing closure concepts

Status
Not open for further replies.

Asha_eda

Junior Member level 1
Joined
Nov 28, 2007
Messages
18
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,380
Hi

Can anyone provide me documents related to Timing closure? Can anyone clearly explain about Timing closure concepts?

Thanks in advance,
Asha...
 

timing closure is when you modify your design to meet timing specs... you give constraints to the tool and it makes modifications to your design based on those constraints...to have a design that meets its specified performance

so you can say that you have a "timing closure" when your timing specs are satisfied :)

so you need to watch out for timing in different processes such as logical synthesis, clock tree synthesis, place and route...

concerning tools :

logical synthesis tools:

Design Compiler (Synopsys)
Encounter RTL Compiler (Cadence)
BlastCreate (Magma)

for P&R and clock tree synthesis tools:

SoC Encounter and First Encounter (Cadence)
Blast Fusion (Magma)
IC Compiler (Synopsys)

for FPGA for instance, you can handle timing closure issues in Xilinx ISE

....

start reading in static timing analysis...to be able to write the constraints you'll be giving to the tools :)
 

    Asha_eda

    Points: 2
    Helpful Answer Positive Rating
go through questions asked in this forum on STA and tool related timing issues.Come out with some particular issues on timing we will have discussion on that.
 

hi,
Timing closure is itself is a vast ocean. I guess u r a student, please go through " timing verification of ASICs " by Farzad Nekoogar.I Will definitely be able to help you out if you have some specific issues.

Do put some effort to go through this forum, u ll get plenty of materials.

With due respect,dear userz, please go through this forum before posting any queries, instead of vaguely posting a query,that IMHO reflects the amount of interest and dedication to gain and more importantly share knowledge.

Good Luck.
 

timing closure is to meet your timing constrain,so you will fix many setup and hold timing violation. It's very important for us to watch it carefully!
 

But Fixing Setup/Hold Needs familiarity about those critiacl paths.Right??
While Facing timing issues,Its hard to find the soln for less Experienced people.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top