Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

NCSU Cadence Design Kit error while creating new library

Status
Not open for further replies.

ashi

Member level 4
Joined
Jan 26, 2006
Messages
77
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,288
Location
Egypt
Activity points
1,908
NCSU Cadence Design Kit

I have recently installed NCSU Cadence Design Kit and when i creat a new library and attache the technology file i ge this error

*Error* eval: undefined function - pcDefinePCell

can anyone show me what is the error and how to fix it
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top