[NC-Verilog] Repoer Feedback/infinite loop help

Status
Not open for further replies.

maulin sheth

Advanced Member level 2
Joined
Oct 24, 2010
Messages
502
Helped
90
Reputation
179
Reaction score
90
Trophy points
1,318
Location
Bangalore, India
Activity points
4,161
[NC-Verilog] Report Feedback/infinite loop help

Hello All,

How to get the causes of infinite loop using NC-Verilog simulation? How to report infinite loops? I have used "+gateloopwarn" but it only shows that it has zero delay loop. But how to know at which line of code or on which flop it goes into the infinite loop?

Thanks in advance.

--
Thanks & Regards,
Maulin Sheth
 
Last edited:

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…