mustafa_110
Newbie level 1
Hi All,
I am new to the frontend. My expertise lies mostly in the back end . I am trying my hand at verilog coding and simulations using ncvim. Some how I a not able to dump a proper vcd file to view in simvision. Can someone please help me to figure out the issue.
This is the content of a simple mux ( file my_mux.v)
These are the commands use to compile , elab and simulate and then view the waveform.
However the vcd file does not contact the information required to view the waveform in simvision. As a result there are no waveforms to view.
The content of the vcd file is as mentioned below.
Can someone please help me get some waveform so that I can view the same in simvision.
Regards,
Mustafa
I am new to the frontend. My expertise lies mostly in the back end . I am trying my hand at verilog coding and simulations using ncvim. Some how I a not able to dump a proper vcd file to view in simvision. Can someone please help me to figure out the issue.
This is the content of a simple mux ( file my_mux.v)
Code Verilog - [expand] 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 `timescale 1ns/10ps module my_mux (a , b , s , z) ; input a , b , s ; output z ; assign z = (s) ? a : b ; endmodule This is the content of the testbench code as mentioned below. ( file my_mux_tb.v ) `timescale 1ns/10ps module testmux; reg a, b, s ; wire out ; my_mux inst (a , b , s , out) ; initial begin $dumpfile("testmux.vcd"); $dumpvars(); a = 0 ; b = 1 ; s = 0 ; #1; a = 1 ; #1; s = 1 ; b = 0 ; #1; $finish; end endmodule
These are the commands use to compile , elab and simulate and then view the waveform.
Code:
ncvlog my_mux.v my_mux_tb.v -messages
ncelab testmux -messages
ncsim testmux
However the vcd file does not contact the information required to view the waveform in simvision. As a result there are no waveforms to view.
The content of the vcd file is as mentioned below.
Code:
$date
Jun 27, 2015 23:09:45
$end
$version
TOOL: ncsim 14.20-s002
$end
$timescale
10 ps
$end
$enddefinitions $end
$dumpvars
$end
#300
Can someone please help me get some waveform so that I can view the same in simvision.
Regards,
Mustafa
Last edited by a moderator: