[Moved]Regarding CRC in SystemVerilog

Status
Not open for further replies.

vamsanisaahith

Newbie level 1
Joined
Aug 27, 2014
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
7
hello every1!!!!

i am working on MAC frame generator, and i need to generate CRC ,append it after payload field according to Standard Ethernet frame .

here my payload length is varying, my input in payload length ,i have DA,SA,Ethertype, etc all the fields required.

could someone suggest a method to generate CRC???

thanks!!!
 

Ethernet CRC is well defined in IEEE 802.3 and IP RFC, so you shouldn't have the problem how to calculate it. You find CRC generators at opencores and all over the internet as well as core generators from FPGA vendors.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…