Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

modelsim simulation problem(10 pts)

Status
Not open for further replies.

mamsamae

Member level 1
Joined
Nov 29, 2006
Messages
40
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,286
Activity points
1,496
vcom 1141

use ise8.2 to design CORDIC(containing BlockRam) unit when i try to simulates it in the modelsim SE PLUS 6.0c the follwing error is appearing

# ** Error: ../../../cpu/work/src/fp_cordic.vhd(70): (vcom-1141) 'precordic' is not a component declaration# ** Error: ../../../cpu/work/src/fp_cordic.vhd(71): Statement cannot be labeled.
# ** Error: ../../../cpu/work/src/fp_cordic.vhd(100): (vcom-1141) 'postcordic' is not a component declaration
# ** Error: ../../../cpu/work/src/fp_cordic.vhd(102): VHDL Compiler exiting
# ** Error: J:/FPGAdv70PS/Modeltech/win32/vcom failed.



plz help me
 

vcom-1141

seems you're putting label to some lines in the code where you shouldn't...!
 

simulation problems modelsim

maybe that you don't enable what xilinx says.

--Uncomment the following lines to use the declarations that are
--provided for instantiating Xilinx primitive components.
-- library UNISIM;
-- use UNISIM.VComponents.all;

generally this two lines are commented , you have only to uncomment.
Another task that you have to do is to compile xilinx libraries and add the right path in modelsim.ini.

hope that this will be useful.

g.
 

Hi,
You need to check in your file fp_cordic.vhd that at line number 70 and line number 100 you have instance but corresponind compont may not be declared.
Check there is component 'precordic' before begin for your architecture code.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top