ModelSim or Quartus II

Status
Not open for further replies.

mml

Newbie level 4
Joined
Aug 31, 2009
Messages
5
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,306
Hello
I want to write a VHDL program, which editor is better, ModelSim or Quartus II?
please give me help about them...
thanks.
 

ModelSim or qu(at)rtus II

Depends on your requirement.
If you want to do only simulation and verification then Modelsim better choice.
If you want to do simple simulation and implement the design into an Altera FPGA then you need Quartus.
 

Re: ModelSim or qu(at)rtus II

mml said:
Hello
I want to write a VHDL program, which editor is better, ModelSim or qu(at)rtus II?
please give me help about them...
thanks.

As a an EDITOR, I'd use an editor like Slickedit.

For making an Altera FPGA using VHDL, you need to have Quartus. You probably will want Modelsim, although the version that comes with Quartus may suffice.
 

ModelSim or qu(at)rtus II

the editor from Aldec Active-HDL is quite well.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…