Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Looking for a PLL model in Verilog

Status
Not open for further replies.

AlexWan

Full Member level 5
Joined
Dec 26, 2003
Messages
304
Helped
8
Reputation
16
Reaction score
2
Trophy points
1,298
Activity points
2,692
Hi all,

Who can give me a PLL model(verilog version)? Or links

Thanks
 

[req] A PLL Model

This is another choice
**broken link removed**

Sincerely,
Jarod
 

Re: [req] A PLL Model

Thanks for this interesting. And Could anybody give me a help in digital PLL ? I intend to implement in a DSP, but I dont know where I can start ? Does anybody know some simulation program for easily to simulate a digital PLL or analog PLL?
 

Re: [req] A PLL Model

may be you can get it from the sample of cadance, there are some example of pll.
 

Re: [req] A PLL Model

@ songjunjian : Do you mean "cadence" ? Could you please give me some links? Becase I found in the internet but I could not see any digital PLL example as well.

Thanks for your reply!
 

[req] A PLL Model

go to opencore website for it
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top