Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Is wait statement syntehsizable in VHDL?

Status
Not open for further replies.

kalaiyarasan

Member level 1
Joined
Jun 30, 2010
Messages
33
Helped
2
Reputation
4
Reaction score
0
Trophy points
1,286
Location
hyderabad
Activity points
1,454
In VHDL whether wait statement is synthesizable or not...
if it is partially synthesizable in which kind of statement it is synthesisable
 

wait statement

In VHDL, wait statement is not synthesis able at all.The maximum you can do is create a counter and wait for the count value till it reaches a preset value.

--vipin
https://vhdlguru.blogspot.com/
 
Re: wait statement

If you use it as a 'process', it's synthesizable.
For eq : wait until clk'event and clk = '1'...
 
wait statement

the following template for processes is also synthesisable:

Code:
process
begin
  wait until rising_edge(clk);
  .....
end process;
 

Re: wait statement

It is not recommended coding practice to use wait statement for synthesis. use 'event attribute, that too for clock rising edge.

If you want to create a delay, then go for counter implementation with enable.
 
Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top