flammmable
Newbie
There is a usefull feature in ModelSim/QuestaSim: if we will write on SystemVerilog something like this:
...the transcript console will shows next string:
And then if we will click on this string the cursor in the waveform viewer will jump to 123 ns.
Is there any similar feature in Xilinx Vivado simulator or in Aldec Active-HDL simulator?
Code:
$display("Time: %0d ns", 123);
Code:
# Time: 123 ns
Is there any similar feature in Xilinx Vivado simulator or in Aldec Active-HDL simulator?