Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Is it possible to clone of the XDS510PP (TI DSP emulator) ?

Status
Not open for further replies.
Re: Is it possible to clone of the XDS510PP (TI DSP emulator

I finally got a quotation form Rochester Electronics.

Hurry up men before the chip sold out.

nrabbitt@rocelec.com


I am pleased to offer the following:

PPC34C60QFP
PRICE: $10.53/PER PIECE

Pricing is good for 30 days and all devices are subject to prior sale. Rochester Electronics Inc. terms and conditions apply.

Thank you for the opportunity to quote. Please let me know if I can be of any further assistance.
[/quote]
 

Re: Is it possible to clone of the XDS510PP (TI DSP emulator

Hello All,

I think the information whcih I am going to share here is already available in the edaboard but enyway, somone of you could have missed it.

Check the site **broken link removed**
it is russion one and describe the PCI based jtag emulator.
It contains 2 boards PCI board and pod. The connection between the boards is SCSI cable so the data transfer should be very fast.

It is done relatively recently .. the last update is from 2 June 2004.
It is developed bu russion man so all descriptions are in russion.

The history of the project is documented in 6 zip files which you can download from the link at the center of the page.
Schematics, PCBs code for the CPLD and FPGA are included also.
PCAD is used as EDA tool

Can somone of you with more experiance with the JTAG emulation assess this design?

regards
dora
 

Re: Is it possible to clone of the XDS510PP (TI DSP emulator

HI DORA :
I took a look at that project ... The guy still uses the original TAP controller from TI ..the one that is now hard to get !
Otherwise yes, he changed to a FAST PCI board interface ...
i think that it will ne neat to migrate all to PCMCIA .
 

Re: Is it possible to clone of the XDS510PP (TI DSP emulator

Still TI. Has someone cloned ADI emulators? :()
 

Re: Is it possible to clone of the XDS510PP (TI DSP emulator

Who have ACT8990 VHDL code ?
 

How to view or extact to .ddb extension file in the xds510pp_1.rar and xds510pp_2.rar

regars
 

Re: Is it possible to clone of the XDS510PP (TI DSP emulator

Yes is possible to clone that emulator .. The SN748990 can be purchased from DIGIKEY for $25. and also the EPLD .. Now the schematics are IN PROTEL FORMAT
ddb are protel projects data bases . If you need it i could upload them in PFD format !
 

Okey eltonjohn,
I need it,
please upload the PDF format.

Regards
 

Re: Is it possible to clone of the XDS510PP (TI DSP emulator

here is :

I'm planning to develop a version that is USB based and compatible with the drivers of this version ..I have found a way to do it by intersecting the calls to the parallel port and resending it to a USB interface .Do you guys think that there is a market for such product .. i will have to sell it for around $250!
Please coment on this ! if you are interested
 

Ok eltonjohn,
But I need urgently,
Please upload PDF format the parallel port version.
Thanks.
 

Re: Is it possible to clone of the XDS510PP (TI DSP emulator

ACT8990 HDL code ?
 

Re: Is it possible to clone of the XDS510PP (TI DSP emulator

maybe this project it diferent from https://xds510.narod.ru/ , got to know?
 

Is really interesting this post, I searched everywhere and found only this forum speaking about xds.

Also, if needs to program the flash only, there are a simple way to do it ?

Like byteblaster or other cheap hardware ? with proper software obiouvsly.

bye
 

Re: Is it possible to clone of the XDS510PP (TI DSP emulator

elsalvador said:
ACT8990 HDL code ?

I have this:
std8980

This is a model of a Texas Intruments Embedded Test-Bus
Controller, JTAG Tap Master with 8-Bit Generic Host Interface

Status:

2001 Janaury 29
Revision 1.0 - first published release

FILES:

std8980.vhd the model
std8980.ftm the timing file
tbstd8980.vhd a self-checking testbench
tbstd8980.sdf the SDF file for the testbench
wave8980.do a modelsim waveviewer do file

BUGS:

None known (yet)
 

Re: Is it possible to clone of the XDS510PP (TI DSP emulator

dainis said:
elsalvador said:
ACT8990 HDL code ?

I have this:
std8980

This is a model of a Texas Intruments Embedded Test-Bus
Controller, JTAG Tap Master with 8-Bit Generic Host Interface

Status:

2001 Janaury 29
Revision 1.0 - first published release

FILES:

std8980.vhd the model
std8980.ftm the timing file
tbstd8980.vhd a self-checking testbench
tbstd8980.sdf the SDF file for the testbench
wave8980.do a modelsim waveviewer do file

BUGS:

None known (yet)

Can you share with me it?
 

Re: Is it possible to clone of the XDS510PP (TI DSP emulator

Enjoy ! :)
 

Re: Is it possible to clone of the XDS510PP (TI DSP emulator

Does who have implemented the act8990 with VHDL?
 

Re: Is it possible to clone of the XDS510PP (TI DSP emulator

eltonjohn said:
here is :

I'm planning to develop a version that is USB based and compatible with the drivers of this version ..I have found a way to do it by intersecting the calls to the parallel port and resending it to a USB interface .Do you guys think that there is a market for such product .. i will have to sell it for around $250!
Please coment on this ! if you are interested

Did you decide to go ahead with this project? As I am indeed interested.
 

HI ALL
here have all the schematic and pcb of DSP emulator for you !!good luck!!
http://www.embyte.com/

Added after 33 minutes:

hi harrylhq ,
i can not download ,may you email the xds510pp_2.rar to me ,thanks a lot!!!!!!!!!!!!

my emai is : xinhengxuruquan@tom.com
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top