Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Is it possible to clone of the XDS510PP (TI DSP emulator) ?

Status
Not open for further replies.
jtag controller ti dsp

SMC34c60 is the same as PPC34c60 in my opinion.
It "only" refreshes ISA signals on parallel interface.
But i have an idea to connect 8990 to USB.
 

link www.usbid.com-usbid.com

Hi Ja1st,

It is a goog idea, Specrum Digital have done it.
Can you make a clone?

TR2000 :?
 

xds510pp schematics

Hi,
I have any problems with reading this files from the page.
Files xds510pp_1.rar and xds510pp_2.rar uploaded by Harrylhq
are for me unvisible.
Please send me anybody this files.

Best Regards.
mber@itam.zabrze.pl
 

dle500usb

Hi ja1st,

usb would be great.
Do you have an original Spe*ctrum Digi*tal USB emulator?
A scan of the top and bottom pcb would be very interessting .. :)
 

dsp emulator narod.ru site:edaboard.com

Do the the Ti drivers work?
 

adi emulator clone

HI you ALL:

I looked at the schematics and i saw a workaround for this design //
It's possible to go USB .. by using a FTDI USB-> Parallel chip
Then you can use the parallel drivers provided for the XDSP510pp!
Windows will see a parallel port but is a USB device!
Second, the interface is only 8 bits so i discovered another JTAG TAP MASTER the 74LV8980A .. you can get samples from TI's web site.
mine are on their way !
Now here will be needed a CPU or GAL .. a very simple one ,It's up to you
to control the flow of data bewteen the FTDI chip and the 74LVT8980A!

The FTDI chip to use is the FT245BM
**broken link removed**
 

xds510 ti dsp emulator driver download

It's getting BETTER and BETTER ... There is an IP for a TAP controller on Opencores.org .. NOW is all possible to put all the EMULATOR compatible XDS510pp (which is now OBSOLETE and has bee replaced because is slow) in just one CPLD !

I have speed comparaisons among severals emulators the XDS510pp is at the BOTTOM .the XDS510pp + and USB version are 3 times better .
BUT still very SLOW compaired with high end products ..
The solution is to go full USB2 !
 

Re: Is it possible to clone of the XDS510PP (TI DSP emulator

Hello eltonjohn!
it is not posible to use FTDI chip as a parallel port for XDS510PP because spectrumdigital`s drivers do not use parallel port driver . the use .dll which address directly the parallel port and some giveio like driver for nt users.
speeding up the things is possible with implementing EPP mode on XDS510PP_CPLD as I sayd in the ReadMe.txt file but now I don`t have time to do this.
Implementig ACT8990 on CPLD in not possible ... you must use FPGA but it si really hard work and a lot of time.
Regards
 

Re: Is it possible to clone of the XDS510PP (TI DSP emulator

I agree that hook up to usb 2.0 will be a good choice. DSP USB 1.0 ICE is as slow as serail port.

For small dsp code. This P port one is OK.
 

Re: Is it possible to clone of the XDS510PP (TI DSP emulator

Hi KRAS
Good Tip .i didn't know about how the port was addressed .Maybe the driver can be patched . I've been trying to get The driver but their download site is now locked. Otherwise chances are that whole synthetized thing might fin inside a CPLD .. i took an JTAG TAP iP + the SMC34C60 VHDL code . and did synthesis on a 144 Cell CPLD and i got 75% Usage .The configuration registers and counters remaining might fit inside a 144.
 

Re: Is it possible to clone of the XDS510PP (TI DSP emulator

hello eltonjohn!
can you tell me where I can get this JTAG TAP iP + the SMC34C60 VHDL code to take a look ?
 

Re: Is it possible to clone of the XDS510PP (TI DSP emulator

Yes MY LORD!

The tap is avalaible from opencores.org and the other thing is RIGHT here
in the CPLD_XDS510..

If you can't OPEN the PROTEL database ,let me know .. I ll get you the files!
 

Re: Is it possible to clone of the XDS510PP (TI DSP emulator

I found an implementation of EPP slave on the web.

**broken link removed**

It may help for improving performance of the CPLD replacing SMC34C60.


Visioneer
 

Re: Is it possible to clone of the XDS510PP (TI DSP emulator

I found another VHDL implementation of EPP on the wen.
But I am not sure it will work or not, I am a novice in VHDL.

**broken link removed**

Would anyone check this ?

Visioneer


library IEEE;
use IEEE.std_logic_1164.all;

entity epp_xfer is
port (
rstn: in STD_LOGIC;
clk: in STD_LOGIC;
-- IO's at EPP side
data_strobe: in STD_LOGIC;
addr_strobe: in STD_LOGIC;
data_in: in STD_LOGIC_VECTOR (7 downto 0);
data_out: out STD_LOGIC_VECTOR (7 downto 0);
write: in STD_LOGIC;
waitn: out STD_LOGIC;
-- IO's at FPGA side
addr_read, data_read: in STD_LOGIC_VECTOR (7 downto 0);
addr_write, data_write: out STD_LOGIC_VECTOR (7 downto 0);
we_addr, we_data, high_Z: out STD_LOGIC;
drive_addr, drive_data: out STD_LOGIC
);
end epp_xfer;

architecture epp_arch of epp_xfer is
type state_type IS (IDLE, WRADDR1, WRADDR2, WRDATA1, WRDATA2, RDADDR1, RDADDR2, RDDATA1, RDDATA2);
signal state: state_type;
begin

process( rstn, clk )
begin
if( rstn = '0' ) then
state <= IDLE;
waitn <= '0';
high_Z <= '0';
drive_data <= '0';
drive_addr <= '0';
we_addr <= '0';
we_data <= '0';
elsif( clk'EVENT and clk = '1' ) then
case state is
when IDLE =>
if((addr_strobe = '0') and (write = '0')) then
state <= WRADDR1;
waitn <= '1';
we_addr <= '1';
addr_write <= data_in; -- addr_write is a register that stores an address written by the host into the FPGA
high_Z <= '0';
elsif((data_strobe = '0') and (write = '0')) then
state <= WRDATA1;
waitn <= '1';
we_data <= '1';
data_write <= data_in; -- data_write is a register that stores a 16-bits word written by the host into the FPGA
high_Z <= '0';
elsif((addr_strobe = '0') and (write = '1')) then
state <= RDADDR1;
waitn <= '1';
drive_addr <= '1';
data_out <= addr_read;
high_Z <= '1';
elsif((data_strobe = '0') and (write = '1')) then
state <= RDDATA1;
waitn <= '1';
drive_data <= '1';
data_out <= data_read;
high_Z <= '1';
else
state <= IDLE;
waitn <= '0';
we_addr <= '0';
we_data <= '0';
drive_data <= '0';
drive_addr <= '0';
high_Z <= '0';
end if;
when WRADDR1 =>
if(addr_strobe = '1') then
state <= WRADDR2;
we_addr <= '0';
end if;
when WRDATA1 =>
if(data_strobe = '1') then
state <= WRDATA2;
we_data <= '0';
end if;
when RDADDR1 =>
if(addr_strobe = '1') then
state <= RDADDR2;
end if;
when RDDATA1 =>
if(data_strobe = '1') then
state <= RDDATA2;
end if;
when WRADDR2 =>
if(write = '1') then
state <= IDLE;
waitn <= '0';
end if;
when WRDATA2 =>
if(write = '1') then
state <= IDLE;
waitn <= '0';
end if;
when RDADDR2 =>
state <= IDLE;
waitn <= '0';
high_Z <= '0';
when RDDATA2 =>
state <= IDLE;
waitn <= '0';
high_Z <= '0';
when others =>
state <= IDLE;
end case;
end if;
end process;
end epp_arch;
 

Re: Is it possible to clone of the XDS510PP (TI DSP emulator

Yes I can open CPLD_XDS510PP design database .. I made this design and the VHDL source :))))
I`ll search opencores.org for TAP IP..
about visioneer idea for EPP implementig I`ll say that I "lie" spectrumdigital`s driver that this device support Daisy chain protocol i.e. the protocol is not implemented .. is it some pseudo version of that... I write EPP mode many times but there is conflict between pseudo Daisy chain protocol that I implenet and EPP mode .. Implementing the full Daisy chain protocol is really hard because you need Clock signal and many many macrocells or just time to do this which I haven`t .. But I have some new ideas about this implementation and in the near furture I`ll try to make it :))
 

Re: Is it possible to clone of the XDS510PP (TI DSP emulator

Rochester Electronics has a lots of PPC34C60s in stock.

https://www.rocelec.com/

SMC
Part Number: PPC34C60QFP
Description:
Marking: M: PPC34C60 Quantity: 5373 Date Code(s)
Availability: In Stock
Min Order Qty: 0
Package:
MFG Flow:
Notes: DP

Visioneer
 

Re: Is it possible to clone of the XDS510PP (TI DSP emulator

www.usbid.com

PPC34c60

SMC34c60

search it.
 

Re: Is it possible to clone of the XDS510PP (TI DSP emulator

I tried to download, but the link is broke. But I have two differents aproaches for XDS510, with PCB and SCH.
One use the SMC34C60, and other use Xilinx XC95108-20.
 

Re: Is it possible to clone of the XDS510PP (TI DSP emulator

Harrylhq said:
ok,ok!
take it!
8O

Function well?
 

Re: Is it possible to clone of the XDS510PP (TI DSP emulator

elcielo said:
www.usbid.com

PPC34c60

SMC34c60

search it.

They have no stock of 34C60.
Don't waiste your invalueable time with them.


You entered RFQ XXXXXX for components at the USBid website (https://www.usbid.com). Here is your quote:

Qty Mfg Part Number Manufacturer Date Code Delivery Price (USD) Subtotal
SMC34C60QFP *No Stock*


All parts are subject to prior sale. To place these on order please send a copy of your purchase order to the fax number below or pay by credit card. All quotations are subject to re-confirmation at the time of order placement. Ex Works Melbourne, FL USA unless otherwise indicated.

For US customers, payment may be made by credit card (AMEX, Visa, Mastercard, Discover), TT/advance or COD. For international customers, payment may be made by credit card or TT/advance. Other payment and credit terms upon application and approval.


Sorry for the delay but we have been unable to source the specific part numbers you have requested. Is it possible that substitute part numbers would satisfy your needs? Please call or email me to discuss.

Thank you for submitting your RFQs to USBid!


Sincerely,
Yolanda Yun
Buyer/Account Manager

Email yyun@usbid.com
Telephone 3217259565, 247
Fax 3217257585
Web https://www.usbid.com
Ship (Ex Works)
USBid Inc.
907 East Strawbridge Avenue
Melbourne, FL 32901 USA
Remit
USBid Inc.
P.O. Box 2590
Melbourne, FL 32902-2590 USA
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top