Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Is it correct to instantiate a module within 'always' or 'if' in Verilog?

Status
Not open for further replies.

raja.mst

Newbie level 4
Joined
Sep 24, 2008
Messages
6
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,283
Activity points
1,315
Is it correct to instantiate a module within 'always' or 'if'
 

doubt in verilog

its not possible
 

Re: doubt in verilog

sure NOT. It impossible.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top