Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

include file in verilog

Status
Not open for further replies.

senddilu

Newbie level 6
Joined
Apr 15, 2006
Messages
12
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,378
verilog include file

For including a file in verlog, i used `include in a test module. So my aim
was to call the tasks that are defined in the file 'include "task_def.v"
while compliling, i have tried compiling both the test module and task_dev.v
Modelsim is reporting lots of errors for the variables declared in the files.
How to get rid of the problem.?
-Thanks
Senddilu
 

verilog include

only compiling the file which includes the task file is ok
 

include files in verilog

also you should add some option!
 

when you do simulation , you should also add it in include path!
 

In `include <filename path> keep <filename path> relative to directory where you are compiling.
 

i have the same problem too but how to include the filename path please explain with example so that we can understand....thanks
 

Hei better keep all the files in the same folder and in coding where u use the defines, as a first line : `include xyz.v then when simulating it is better to : vlog src/*.v; vsim src/*.v
this is done so that the included file is also compiled along with the design files
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top