I need test bench in Verilog

Status
Not open for further replies.

czarek92

Newbie level 1
Joined
Jun 2, 2014
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
7
I made ​​a program, code taken from the book FPGA: author Pong. The program applies to machines FSM. Help, I do testbench and supplement it, because as I create automated test wprogramie that I need to add something :/
Code:
`timescale 1ns / 1ps
module edge_detect_gate
	(
		input wire clk, reset,
		input wire level,
		output wire tick
    );
	 
	 //signal declaration
	 reg delay_reg;
	 
	 //delay register
		always @(posedge clk, posedge reset)
			if (reset)
				delay_reg <= 1'b0;
			else
				delay_reg <= level;
		
	//decoding logic
	assign tick = ~delay_reg & level;


endmodule

Please!!
 

I can't even tell what you are asking help on: help in fixing a testbench, help in how to add something to a testbench? As you haven't posted any testbench code we can't help you fix anything.

If you are asking us to write the testbench, don't bother. I doubt you'll find anyone who is willing to do your work for you. Sorry, but your post makes you appear lazy.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…