leongch
Member level 2
cannot see files in debussy
HI,
I can't view the schematic at debussy schematic viewer. I run the compilation and everything is fine including the simulation waveform. Just that I can't view the shematic of the top level module as i required. Is it because of the verilog testbenches problems? What might be possible problem?
begin
$fsdbDumpfile("top.fsdb");
$fsdbDumpvars(0,top);
$fsdbDumpon;
if ($test$plusargs("dump_core_only"))
$fsdbDumpvars(2,top.transcieverB_chip);
else
$fsdbDumpvars(0,top.transcieverB_chip);
end
else if ($test$plusargs("dumpvcd"))
begin
$display("Dumping VCD file ...");
$dumpfile("top.vcd");
$dumpvars(0,top);
end
HI,
I can't view the schematic at debussy schematic viewer. I run the compilation and everything is fine including the simulation waveform. Just that I can't view the shematic of the top level module as i required. Is it because of the verilog testbenches problems? What might be possible problem?
begin
$fsdbDumpfile("top.fsdb");
$fsdbDumpvars(0,top);
$fsdbDumpon;
if ($test$plusargs("dump_core_only"))
$fsdbDumpvars(2,top.transcieverB_chip);
else
$fsdbDumpvars(0,top.transcieverB_chip);
end
else if ($test$plusargs("dumpvcd"))
begin
$display("Dumping VCD file ...");
$dumpfile("top.vcd");
$dumpvars(0,top);
end