Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to write vhdl code for d/e <= (a/b + c/d)

Status
Not open for further replies.

harerama

Member level 4
Joined
Sep 21, 2011
Messages
79
Helped
2
Reputation
4
Reaction score
2
Trophy points
1,288
Location
Bangalore,India
Activity points
1,747
Hi.

How to write RTL vhdl code for d/e <= (a/b + c/d) this statment.


Thanks in advance

Regards
Raghavendra
 

quite easily using ieee.numeric_std package:

e <= d / (a/b + c/d);

but dont expect to get a decent fmax.

For a reasonable solution, you will needed to use pipelined divider ip blocks.
 

Sorry, but I can't read a clear meaning into the original expression d/e <= (a/b + c/d). And not reproduce the suggested transformation. If it's intended to solve the equation for a specific variable, it would be stated in the problem, I presume.
 
Thanks FvM. Actuall i plan to write RTL VHDL code for addition(+) of rational numbers and result should not be in the form of fraction.
 

result should not be in the form of fraction
Rather than in what form? I guess you know that
a/b + c/d = (a*d + c*b)/b*d
So you can get an exact rational result.
 

Thanks..ya i know what you said.I little bit confusion how to write vhdl code for this.
 

By now, you didn't specify which numbers are input and outputs, and what's there numeric range, either as bit width of signed/unsigned or integer ranges. TrickyDicky assumed, that e is the intended output signal, which may be right, but doesn't answer about the intended number format.
 

Thanks...I am totally newbie on VHDL please guide me how to write a program addition of two rational numbers, result should be in the form of rational number only.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top