Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to write a script for cadance SOC encounter?

Status
Not open for further replies.

zhanch

Junior Member level 3
Joined
Oct 21, 2003
Messages
27
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
213
SOC encounter

Hi, folks,
Does anybody know how to write a script for cadance SOC encounter?
 

SOC encounter

If It's your first time use this tool, you'd better start from GUI mode.
That will help you lot
 

Re: SOC encounter

zhanch said:
Hi, folks,
Does anybody know how to write a script for cadance SOC encounter?

Hi zhanch,

My advice is you can learn this by manual/tutorial in the doc directory where you

installed this sofrware.
 

Re: SOC encounter

wadaye said:
zhanch said:
Hi, folks,
Does anybody know how to write a script for cadance SOC encounter?

Hi zhanch,

My advice is you can learn this by manual/tutorial in the doc directory where you

installed this sofrware.

hi, wadaye,
The encounter is installed on unix, could you send the tutorial doc to me.
c_zhan@yahoo.com
Cheers,
 

SOC encounter

You have this tutorial doc in your director of ambits. I do not remember exactly but I am sure it has.
 

SOC encounter

Tutorial doc is necessary, and you should gotten some advice from the cadence online question answer.
 

Re: SOC encounter

request to attend a training class is a good idea
 

SOC encounter

know about tcl language etc
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top