How to write a 'req' before 'ack' systemverilog assertion?

Status
Not open for further replies.

ash72

Newbie level 5
Joined
Feb 10, 2020
Messages
9
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
88
I am not able to write an assertion for the following spec.

$rose(req) must not arrive more than 3 times before $rose(ack) arrives. The $rose(ack) should arrive within 16 clocks.

Help!!!

Thanks much.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…