Tayta Inti
Newbie level 2
I don’t have any experience using LVDS and I have problems with implementation. I checked quite a few examples on the Internet but everyone doesn’t work. I get errors all the time… I suppose that my troubles are really trivial and probably should resolve my problems one good example of implementation.
I use Spartan-3e and ISE 13.1.
My code:
I tried to check almost everyone solution which I looked for in the WEB but always I have some errors, for example like this:
Thank you in advance.
Best Regards.
I use Spartan-3e and ISE 13.1.
My code:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VComponents.all;
entity TEST is
Port ( SYS_CLK2 : in std_logic; -- main clock
S_RST_N : in std_logic; -- async reset
DATA_P : in std_logic;
DATA_N : in std_logic;
WY : out std_logic);
end TEST;
architecture Behavioral of TEST is
begin
IBUFDS_1 : IBUFDS
-- generic map (
-- IOSTANDARD => "MINI_LVDS_25")
port map (
O => WY,
I => DATA_P,
IB => DATA_N);
end Behavioral;
My UCF-file:use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VComponents.all;
entity TEST is
Port ( SYS_CLK2 : in std_logic; -- main clock
S_RST_N : in std_logic; -- async reset
DATA_P : in std_logic;
DATA_N : in std_logic;
WY : out std_logic);
end TEST;
architecture Behavioral of TEST is
begin
IBUFDS_1 : IBUFDS
-- generic map (
-- IOSTANDARD => "MINI_LVDS_25")
port map (
O => WY,
I => DATA_P,
IB => DATA_N);
end Behavioral;
NET "DATA_P" LOC = "B4" | IOSTANDARD = MINI_LVDS_25 ;
NET "DATA_N" LOC = "A4" | IOSTANDARD = MINI_LVDS_25 ;
NET "SYS_CLK2" LOC="C9" | IOSTANDARD = LVCMOS33;
NET "S_RST_N" LOC = "L13" | IOSTANDARD = LVTTL | PULLUP ; # SW0
NET "WY" LOC = "F12" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8 ;
NET "DATA_N" LOC = "A4" | IOSTANDARD = MINI_LVDS_25 ;
NET "SYS_CLK2" LOC="C9" | IOSTANDARD = LVCMOS33;
NET "S_RST_N" LOC = "L13" | IOSTANDARD = LVTTL | PULLUP ; # SW0
NET "WY" LOC = "F12" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8 ;
I tried to check almost everyone solution which I looked for in the WEB but always I have some errors, for example like this:
ERROR
ack:1107 - Pack was unable to combine the symbols listed below into a
single DIFFSI component because the site type selected is not compatible. The
component type is determined by the types of logic and the properties and
configuration of the logic it contains. In this case an IO component of type
DIFFSI was chosen because the IO contains symbols and/or properties
consistent with input differential slave usage. Please double check that the
types of logic elements and all of their relevant properties and
configuration options are compatible with the physical site type of the
constraint.
Summary:
Symbols involved:
PAD symbol "DATA_N" (Pad Signal = DATA_N)
SlaveBuffer symbol "IBUFDS_1/SLAVEBUF.DIFFIN" (Output Signal =
IBUFDS_1/SLAVEBUF.DIFFIN)
Component type involved: DIFFSI
Site Location involved: A4
Site Type involved: DIFFM
ERROR
ack:1107 - Pack was unable to combine the symbols listed below into a
single DIFFMI component because the site type selected is not compatible. The
component type is determined by the types of logic and the properties and
configuration of the logic it contains. In this case an IO component of type
DIFFMI was chosen because the IO contains symbols and/or properties
consistent with input differential master usage. Please double check that the
types of logic elements and all of their relevant properties and
configuration options are compatible with the physical site type of the
constraint.
Summary:
Symbols involved:
PAD symbol "DATA_P" (Pad Signal = DATA_P)
DIFFAMP symbol "IBUFDS_1/IBUFDS" (Output Signal = WY_OBUF)
Component type involved: DIFFMI
Site Location involved: B4
Site Type involved: DIFFS
single DIFFSI component because the site type selected is not compatible. The
component type is determined by the types of logic and the properties and
configuration of the logic it contains. In this case an IO component of type
DIFFSI was chosen because the IO contains symbols and/or properties
consistent with input differential slave usage. Please double check that the
types of logic elements and all of their relevant properties and
configuration options are compatible with the physical site type of the
constraint.
Summary:
Symbols involved:
PAD symbol "DATA_N" (Pad Signal = DATA_N)
SlaveBuffer symbol "IBUFDS_1/SLAVEBUF.DIFFIN" (Output Signal =
IBUFDS_1/SLAVEBUF.DIFFIN)
Component type involved: DIFFSI
Site Location involved: A4
Site Type involved: DIFFM
ERROR
single DIFFMI component because the site type selected is not compatible. The
component type is determined by the types of logic and the properties and
configuration of the logic it contains. In this case an IO component of type
DIFFMI was chosen because the IO contains symbols and/or properties
consistent with input differential master usage. Please double check that the
types of logic elements and all of their relevant properties and
configuration options are compatible with the physical site type of the
constraint.
Summary:
Symbols involved:
PAD symbol "DATA_P" (Pad Signal = DATA_P)
DIFFAMP symbol "IBUFDS_1/IBUFDS" (Output Signal = WY_OBUF)
Component type involved: DIFFMI
Site Location involved: B4
Site Type involved: DIFFS
Thank you in advance.
Best Regards.