Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how to use adders,multipliers, in virtex2...

Status
Not open for further replies.

vahidkh6222

Full Member level 2
Joined
Oct 11, 2005
Messages
137
Helped
6
Reputation
12
Reaction score
0
Trophy points
1,296
Activity points
2,419
i wanna write a code for FIR filter, and i know the algorithm somehow... but i'm not sure of how to use the multipliers in this devise. should i use COREGENERATOR and make my costumized adder and then instantiate it 50 times for every MUL function i need? is there any other easier way?

also for shift register... is it true to write just this simple code:

if clk='1' and clk'event then
x[2]<= x[1];
x[3]<=x[2];
...
...
..
endif?!!!!!


how about the adder ?
is it true to write:

a <= b + C; ?!!!
or again use the COREGEN and instantiations?!!!



tnx in advance.
 

You Can Look At :

**broken link removed**

**broken link removed**
 

tnx but it does not answer my quest, i need the vhdl syntax to do multiplying...
 

If you are using XST (included with ISE and Webpack) from Xilinx, the software manuals can be retrieved from

**broken link removed**

The most helpful manuals will probably be the sythesis (sim) and library (lib) manuals.
 

Hi... here is the VHDL language...

for adder: sum<=a+b;

Multiplexer (4-bit) :
begin
process (sel,a,b)
begin
if (sel='0') then
y <=a;
else
y<=b;
end if;
end process;
end logic;


And i'm not sure for the shift register...but i know the VHDL language for shift and register....

Ok, good luck

Regards,
Siti
 

You can define the adders and multipliers very simply, but you need to use the unsigned and arith libraries. If this is not urgent I will pass you some VHDL code defining a multiplier. I do use instantiation. I really don't know why you should need 50 multipliers, what order is your filter?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top