How to the find critical path delay of a design using Design Compiler

Status
Not open for further replies.

anantha_09

Member level 4
Joined
Jan 28, 2007
Messages
75
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,771
how to the find critical path delay of a design using Design Compiler

any material would be of great help

thank you
 

Re: critical path delay

report_constraint -all_viol -max_delay will give all violating paths in each path group. Path groups are depends on the number of clocks in your design(default) else u can also specify path groups ..

report_qor will also tells, in each path groups ,whats the path delay ..

Regards,
Sam
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…