How to set constraints for two outputs

Status
Not open for further replies.

vkchau

Member level 4
Joined
Jun 7, 2003
Messages
74
Helped
4
Reputation
8
Reaction score
2
Trophy points
1,288
Activity points
664
Hi, anyone help me what constraints should I set to achieve below:
1. For example, my design on Altera FPGA runs at 100MHz, and have two outputs. I want two signals to active at the same time. I mean the time difference between them is less than 1ns. Could I achieve that?
2. If yes, what constraint should I set, between two signals, clocks...?
Thanks
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…