How to interface an ADC with FPGA ?

Status
Not open for further replies.

cmos babe

Full Member level 4
Joined
Jan 15, 2005
Messages
209
Helped
11
Reputation
22
Reaction score
0
Trophy points
1,296
Location
Dubai
Activity points
1,897
Hi, this is my first time connecting an external component to the FPGA. The ADC's output can be 5 v .. I'm using digilent spartan 3 board, where Vcco is connected to 3.3 V .. should I interface the ADC to it direcrly since the FPGA has internal clamp diodes ? or is it better to use a tranciever?

Thanks :!:
 

ADC-FPGA interface

You must somehow limit the input current.
The Xilinx answer database talks about 5V interfacing:
**broken link removed**
 

    cmos babe

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…