Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to interface an ADC with FPGA ?

Status
Not open for further replies.

cmos babe

Full Member level 4
Joined
Jan 15, 2005
Messages
209
Helped
11
Reputation
22
Reaction score
0
Trophy points
1,296
Location
Dubai
Activity points
1,897
Hi, this is my first time connecting an external component to the FPGA. The ADC's output can be 5 v .. I'm using digilent spartan 3 board, where Vcco is connected to 3.3 V .. should I interface the ADC to it direcrly since the FPGA has internal clamp diodes ? or is it better to use a tranciever?

Thanks :!:
 

ADC-FPGA interface

You must somehow limit the input current.
The Xilinx answer database talks about 5V interfacing:
**broken link removed**
 

    cmos babe

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top