Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how to implement verilog code on FPGA

Status
Not open for further replies.

bhavani403

Newbie level 1
Joined
Aug 6, 2012
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,286
we have developed an DES algorithm in verilog.Now i have to implement in FPGA board.Now i have to build a module assigning a switch(north) to the input values that connects to the top module(main module).Please help us with some code.I am new to FPGA
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top