How to implement SHA 256 in Verilog or C?

Status
Not open for further replies.

gold_kiss

Full Member level 4
Joined
Sep 11, 2002
Messages
211
Helped
7
Reputation
14
Reaction score
4
Trophy points
1,298
Activity points
1,789
Hi All,

Has any one implemented SHA 256 in verilog? Also anyone having C model of SHA 256 please let me know.

Thanks,
Gold_kiss
 

Re: SHA 256

Hi..

I have done an implementation in verilog of SHA -256.
If u have any queries regarding that u can mail it to me or post it here.
 

    gold_kiss

    Points: 2
    Helpful Answer Positive Rating
SHA 256

I don't have any idea about the SHA 256.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…