How to implement core from xilinx core generator?

Status
Not open for further replies.

mo.khairy.mo

Member level 2
Joined
Dec 17, 2008
Messages
47
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,286
Location
Egypt
Activity points
1,548
xilinx core generator

Hi all
i use xilinx core generator to generate floating point adder now i generate the core but i don't know how to implement it.

can anyone help me .

thanks in advance
 

xilinx core generator

Have you tried using the instantiation template that is provided by core generator when you generated the module?
 

xilinx core generator

i try to implement the vhdl file but i've this error
"The design is empty. No processing will be done"

could u please provide me with some tutorials?!
 

xilinx core generator

See this:
**broken link removed**
page 36, Creating a CORE Generator Module
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…