Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to implement a Mealy state machine in VHDL?

Status
Not open for further replies.

ZeroCool666

Newbie level 3
Joined
May 12, 2010
Messages
4
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,306
hello everyone,
could someone please tell me how to implement a mealy state machine in VHDL, i need a structural description. Or if someone already has one implemented could you tell me the output for these sequence: 01101100?
Thank you
 

Re: Mealy state machine

The output depends on your state machine behavior.
What's the HDL language you are using.
--
Amr
 

Re: Mealy state machine

I am using VHDL, working in Active HDL version 6.3 if thats any help
well then if u could help me code a mealy state machine and then I think I can manage
Thank you
 

Re: Mealy state machine

thank you very much...that was really helpfull:D
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top