Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how to get the total number of different cells in DC?

Status
Not open for further replies.

mic_huhu

Member level 3
Joined
Dec 16, 2004
Messages
63
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
499
Hi,all

I want to know the total number of different cells in design,after synthesised using Design Compiler? such as NAND, NOR, etc. How can I do ?


Thanks.

Johnny
 

"report area" will display the sequential & nonsequential area.

then,
number of cells = sequential area / unit cell area

unit cell area will be mentioned in the library.
 

report_cells
report_area
you can find the information.
 

you can get the total number of cells by just typing report_cells, or just use the GUI where you get all theblocks of your design where you can know total number of cells in each and every block. which you can do it through command line also but you can do it easily with gui.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top