How to dump waveform in vhdl using ncsim of cadence

Status
Not open for further replies.
Elias.xie said:
Thanks a lot.
Easiest option will be to bring up ncsim -gui and dump it from there. You need to do

ncelab -access R atleast to be able to do that. Another option is to use dump.tcl file as:

-- dump.tcl
database -shm -default waves
probe -create -shm / -depth all -all
run; exit
---

ncsim -tcl -input dump.tcl

For more, read NC's doc.

HTH
Ajeetha
www.noveldv.com
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…