Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to dump waveform in vhdl using ncsim of cadence

Status
Not open for further replies.
Elias.xie said:
Thanks a lot.
Easiest option will be to bring up ncsim -gui and dump it from there. You need to do

ncelab -access R atleast to be able to do that. Another option is to use dump.tcl file as:

-- dump.tcl
database -shm -default waves
probe -create -shm / -depth all -all
run; exit
---

ncsim -tcl -input dump.tcl

For more, read NC's doc.

HTH
Ajeetha
www.noveldv.com
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top